当前,借助msys2 环境,简单输入几条命令,便可以在windows上轻松搭建基于ice40或者ecp5的整套FPGA开源工具链,msys2是基于cygwin和mingw的一套开发环境,使用msys2可以在windows上获得和linux几乎一致的开发环境,借用官方的话来说: “It provides a native build environment, based on open source software, and makes you feel right at home when you are already comfortable with Linux. ”

1 从msys2官网下载 msys2-x86_64-20201109.exe,双击并连续点击下一步安装即可

2 开始菜单输入mingw,进入msys2 的控制台窗口

3. 同步软件源

#pacman -Syu

4. 安装工具链

#pacman -S mingw-w64-x86_64-eda

分别选择yosys、nextpnr、icestorm、icesprog软件包安装即可,亦可根据自己需求选择其他软件包安装如gtkwave, verilator等。

5. 安装完成后,即可开始综合布线、烧录

亦可使用nextpnr的gui工具查看布局布线结果